[PDF] Euv Lithography Mask Blank Defect Inspection - eBooks Review

Euv Lithography Mask Blank Defect Inspection


Euv Lithography Mask Blank Defect Inspection
DOWNLOAD

Download Euv Lithography Mask Blank Defect Inspection PDF/ePub or read online books in Mobi eBooks. Click Download or Read Online button to get Euv Lithography Mask Blank Defect Inspection book now. This website allows unlimited access to, at the time of writing, more than 1.5 million titles, including hundreds of thousands of titles in various foreign languages. If the content not found or just blank you must refresh this page





Euv Lithography Mask Blank Defect Inspection


Euv Lithography Mask Blank Defect Inspection
DOWNLOAD
Author : Yun Lin
language : en
Publisher:
Release Date : 1998

Euv Lithography Mask Blank Defect Inspection written by Yun Lin and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 1998 with categories.




Actinic Inspection Of Multilayer Defects On Euv Masks


Actinic Inspection Of Multilayer Defects On Euv Masks
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2005

Actinic Inspection Of Multilayer Defects On Euv Masks written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2005 with categories.


The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.



Key Challenges In Euv Mask Technology


Key Challenges In Euv Mask Technology
DOWNLOAD
Author : Yow-Gwo Wang
language : en
Publisher:
Release Date : 2005

Key Challenges In Euv Mask Technology written by Yow-Gwo Wang and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2005 with categories.


This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.



A Study Of Defects On Euv Mask Using Blank Inspection Patterned Mask Inspection And Wafer Inspection


A Study Of Defects On Euv Mask Using Blank Inspection Patterned Mask Inspection And Wafer Inspection
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2010

A Study Of Defects On Euv Mask Using Blank Inspection Patterned Mask Inspection And Wafer Inspection written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2010 with categories.


The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. yet link data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63 cm2. Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.



Mask Defect Verification Using Actinic Inspection And Defect Mitigation Technology


Mask Defect Verification Using Actinic Inspection And Defect Mitigation Technology
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2009

Mask Defect Verification Using Actinic Inspection And Defect Mitigation Technology written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2009 with categories.


The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMA TECH's Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages.



Euv Actinic Defect Inspection And Defect Printability At The Sub 32 Nm Half Pitch


Euv Actinic Defect Inspection And Defect Printability At The Sub 32 Nm Half Pitch
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2009

Euv Actinic Defect Inspection And Defect Printability At The Sub 32 Nm Half Pitch written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2009 with categories.


Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.



Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images


Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2011

Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2011 with categories.


Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.



Actinic Inspection Of Euv Programmed Multilayer Defects And Cross Comparison Measurements


Actinic Inspection Of Euv Programmed Multilayer Defects And Cross Comparison Measurements
DOWNLOAD
Author : J. S. Taylor
language : en
Publisher:
Release Date : 2006

Actinic Inspection Of Euv Programmed Multilayer Defects And Cross Comparison Measurements written by J. S. Taylor and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2006 with categories.


The production of defect-free mask blanks remains a key challenge for extreme ultraviolet (EUV) lithography. Integral to this effort is the development and characterization of mask inspection tools that are sensitive enough to detect critical defects with high confidence. Using a single programmed-defect mask with a range of buried bump-type defects, we report a comparison of measurements made in four different mask-inspection tools: one commercial tool using 488-nm wavelength illumination, one prototype tool that uses 266-nm illumination, and two non-commercial EUV ''actinic'' inspection tools. The EUV tools include a darkfield imaging microscope and a scanning microscope. Our measurements show improving sensitivity with the shorter wavelength non-EUV tool, down to 33-nm spherical-equivalent-volume diameter, for defects of this type. Measurements conditions were unique to each tool, with the EUV tools operating at a much slower inspection rate. Several defects observed with EUV inspection were below the detection threshold of the non-EUV tools.



Understanding Euv Mask Blank Surface Roughness Induced Lwr And Associated Roughness Requirement


Understanding Euv Mask Blank Surface Roughness Induced Lwr And Associated Roughness Requirement
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2015

Understanding Euv Mask Blank Surface Roughness Induced Lwr And Associated Roughness Requirement written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2015 with categories.


Extreme ultraviolet lithography (EUVL) mask multi-layer (ML) blank surface roughness specification historically comes from blank defect inspection tool requirement. Later, new concerns on ML surface roughness induced wafer pattern line width roughness (LWR) arise. In this paper, we have studied wafer level pattern LWR as a function of EUVL mask surface roughness via High-NA Actinic Reticle Review Tool. We found that the blank surface roughness induced LWR at current blank roughness level is in the order of 0.5nm 3[sigma] for NA=0.42 at the best focus. At defocus of ±40nm, the corresponding LWR will be 0.2nm higher. Further reducing EUVL mask blank surface roughness will increase the blank cost with limited benefit in improving the pattern LWR, provided that the intrinsic resist LWR is in the order of 1nm and above.



Detectability And Printability Of Euvl Mask Blank Defects For The32 Nm Hp Node


Detectability And Printability Of Euvl Mask Blank Defects For The32 Nm Hp Node
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2007

Detectability And Printability Of Euvl Mask Blank Defects For The32 Nm Hp Node written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2007 with categories.


The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all printable defects; therefore, understanding defect printability criteria is critical to this tool development. In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.