Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images

DOWNLOAD
Download Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images PDF/ePub or read online books in Mobi eBooks. Click Download or Read Online button to get Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images book now. This website allows unlimited access to, at the time of writing, more than 1.5 million titles, including hundreds of thousands of titles in various foreign languages. If the content not found or just blank you must refresh this page
Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2011
Quantitative Evaluation Of Mask Phase Defects From Through Focus Euv Aerial Images written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2011 with categories.
Mask defects inspection and imaging is one of the most important issues for any pattern transfer lithography technology. This is especially true for EUV lithography where the wavelength-specific properties of masks and defects necessitate actinic inspection for a faithful prediction of defect printability and repair performance. In this paper we will present a technique to obtain a quantitative characterization of mask phase defects from EUV aerial images. We apply this technique to measure the aerial image phase of native defects on a blank mask, measured with the SEMATECH Berkeley Actinic Inspection Tool (AIT) an EUV zoneplate microscope that operates at Lawrence Berkeley National Laboratory. The measured phase is compared with predictions made from AFM top-surface measurements of those defects. While amplitude defects are usually easy to recognize and quantify with standard inspection techniques like scanning electron microscopy (SEM), defects or structures that have a phase component can be much more challenging to inspect. A phase defect can originate from the substrate or from any level of the multilayer. In both cases its effect on the reflected field is not directly related to the local topography of the mask surface, but depends on the deformation of the multilayer structure. Using the AIT, we have previously showed that EUV inspection provides a faithful and reliable way to predict the appearance of mask defect on the printed wafer; but to obtain a complete characterization of the defect we need to evaluate quantitatively its phase component. While aerial imaging doesn't provide a direct measurement of the phase of the object, this information is encoded in the through focus evolution of the image intensity distribution. Recently we developed a technique that allows us to extract the complex amplitude of EUV mask defects using two aerial images from different focal planes. The method for the phase reconstruction is derived from the Gerchberg-Saxton (GS) algorithm, an iterative method that can be used to reconstruct phase and amplitude of an object from the intensity distributions in the image and in the pupil plane. The GS algorithm is equivalent to a two-parameter optimization problem and it needs exactly two constraints to be solved, namely two intensity distributions in different focal planes. In some formulations, adding any other constraint would result in an ill posed problem. On the other hand, the solution's stability and convergence time can both be improved using more information. We modified our complex amplitude reconstruction algorithm to use an arbitrary number of through focus images and we compared its performance with the previous version in terms of convergence speed, robustness and accuracy. We have demonstrated the phase-reconstruction method on native, mask-blank phase defects and compared the results with phase-predictions made from AFM data collected before and after the multilayer deposition. The method and the current results could be extremely useful for improving the modeling and understanding of native phase defects, their detectability, and their printability.
Dissertation Abstracts International
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2002
Dissertation Abstracts International written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2002 with Dissertations, Academic categories.
Actinic Imaging And Evaluation Of Phase Structures On Euv Lithography Masks
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2010
Actinic Imaging And Evaluation Of Phase Structures On Euv Lithography Masks written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2010 with categories.
The authors describe the implementation of a phase-retrieval algorithm to reconstruct phase and complex amplitude of structures on EUV lithography masks. Many native defects commonly found on EUV reticles are difficult to detect and review accurately because they have a strong phase component. Understanding the complex amplitude of mask features is essential for predictive modeling of defect printability and defect repair. Besides printing in a stepper, the most accurate way to characterize such defects is with actinic inspection, performed at the design, EUV wavelength. Phase defect and phase structures show a distinct through-focus behavior that enables qualitative evaluation of the object phase from two or more high-resolution intensity measurements. For the first time, phase of structures and defects on EUV masks were quantitatively reconstructed based on aerial image measurements, using a modified version of a phase-retrieval algorithm developed to test optical phase shifting reticles.
International Aerospace Abstracts
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 1998
International Aerospace Abstracts written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 1998 with Aeronautics categories.
Euv Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2010
Euv Pattern Defect Detection Sensitivity Based On Aerial Image Linewidth Measurements written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2010 with categories.
As the quality of EUV-wavelength mask inspection microscopes improves over time, the image properties and intensity profiles of reflected light can be evaluated in ever-greater detail. The SEMATECH Berkeley Actinic Inspection Tool (AIT) is one such microscope, featuring mask resolution values that match or exceed those available through lithographic printing in current photoresists. In order to evaluate the defect detection sensitivity of the AIT for dense line patterns on typical masks, the authors study the line width roughness (LWR) on two masks, as measured in the EUV images. They report the through-focus and pitch dependence of contrast, image log slope, linewidth, and LWR. The AIT currently reaches LWR 3[sigma] values close to 9 nm for 175 nm half-pitch lines. This value is below 10% linewidth for nearly all lines routinely measured in the AIT. Evidence suggests that this lower level may arise from the mask's inherent pattern roughness. While the sensitivity limit of the AlT has not yet been established, it is clear that the AIT has the required sensitivity to detect defects that cause 10% linewidth changes in line sizes of 125 nm and larger.
Key Challenges In Euv Mask Technology
DOWNLOAD
Author : Yow-Gwo Wang
language : en
Publisher:
Release Date : 2005
Key Challenges In Euv Mask Technology written by Yow-Gwo Wang and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2005 with categories.
This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.
Actinic Mask Inspection At The Als Initial Design Review
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2003
Actinic Mask Inspection At The Als Initial Design Review written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2003 with categories.
This report is the first milestone report for the actinic mask blank inspection project conducted at the VNL, which forms sub-section 3 of the Q1 2003 mask blank technology transfer program at the VNL. Specifically this report addresses deliverable 3.1.1--design review and preliminary tool design. The goal of this project is to design an actinic mask inspection tool capable of operating in two modes: high-speed scanning for the detection of multilayer defects (inspection mode), and a high-resolution aerial image mode in which the image emulates the imaging illumination conditions of a stepper system (aerial image or AIM mode). The purpose and objective of these two modes is as follows: (1) Defect inspection mode--This imaging mode is designed to scan large areas of the mask for defects EUV multilayer coatings. The goal is to detect the presence of multilayer defects on a mask blank and to store the co-ordinates for subsequent review in AIM mode, thus it is not essential that the illumination and imaging conditions match that of a production stepper. Potential uses for this imaging mode include: (a) Correlating the results obtained using actinic inspection with results obtained using other non-EUV defect inspection systems to verify that the non-EUV scanning systems are detecting all critical defects; (b) Gaining sufficient information to associate defects with particular processes, such as various stages of the multilayer deposition or different modes of operation of the deposition tool; and (c) Assessing the density and EUV impact of surface and multilayer anomalies. Because of the low defect density achieved using current multilayer coating technology it is necessary to be able to efficiently scan large areas of the mask in order to obtain sufficient statistics for use in cross-correlation experiments. Speed of operation as well as sensitivity is therefore key to operation in defect inspection mode. (2) Aerial Image Microscope (AIM) mode--In AIM mode the tool is configured so that the collected data emulates the aerial image of a stepper system, thereby enabling rapid evaluation of mask defects and patterning without the need for a resist exposure step. The main uses of the microscope in this mode would be: (a) Review of multilayer and pattern defects to determine their printability; (b) Defect review following a repair process to assess the success of the operation; (c) Investigation of the effects of illumination and NA on the printed image; (d) Process window analysis of defects and other mask features; and (e) Characterizing defects on both patterned and unpatterned masks (i.e. blanks). An essential characteristic of operation in this mode is that the illumination and imaging conditions through focus should emulate as accurately as possible that of a production stepper system. This mode is designed for local review of defects over a small sub-field of the mask; therefore it is not necessary to have the same high-speed throughput required for defect inspection mode. We first describe some technical background relating to EUV masks and defect scanning, with the aim of defining the context of the tool and experiments to be performed. We then present an overview of several candidate optical system configurations for achieve the above objectives, and analyze the ability of each system to achieve the stated project goals. Of key importance is throughput and sensitivity in inspection mode, whilst in AIM mode key points are spatial resolution and the ability to perform stepper emulation imaging. We then down-select the best candidate from this set of solutions to one system, which is then investigated in further detail with a view to producing a preliminary tool design and estimates of overall system performance.
Aerial Image Microscopes For The Inspection Of Defects In Euv Masks
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2002
Aerial Image Microscopes For The Inspection Of Defects In Euv Masks written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2002 with categories.
The high volume inspection equipment currently available to support development of EUV blanks is non-actinic. The same is anticipated for patterned EUV mask inspection. Once potential defects are identified and located by such non-actinic inspection techniques, it is essential to have instrumentation to perform detailed characterization, and if repairs are performed, re-evaluation. The ultimate metric for the acceptance or rejection of a mask due to a defect, is the wafer level impact. Thus measuring the aerial image for the site under question is required. An EUV Aerial Image Microscope (''AIM'') similar to the current AIM tools for 248nm and 193nm exposure wavelength is the natural solution for this task. Due to the complicated manufacturing process of EUV blanks, AIM measurements might also be beneficial to accurately assessing the severity of a blank defect. This is an additional application for an EUV AIM as compared to today's use In recognition of the critical role of an EUV AIM for the successful implementation of EUV blank and mask supply, International SEMATECH initiated this design study with the purpose to define the technical requirements for accurately simulating EUV scanner performance, demonstrating the feasibility to meet these requirements and to explore various technical approaches to building an EUV AIM tool.
Actinic Euv Mask Inspection Beyond 0 25 Na
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2008
Actinic Euv Mask Inspection Beyond 0 25 Na written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2008 with categories.
Operating at EUV wavelengths, the SEMATECH Berkeley Actinic Inspection Tool (AIT) is a zoneplate microscope that provides high quality aerial image measurements in routine operations for SEMATECH member companies. We have upgraded the optical performance of the AIT to provide multiple image magnifications, and several inspection NA values up to 0.35 NA equivalent (0.0875 mask-side). We report on the improved imaging capabilities including resolution below 100-nm on the mask side (25 nm, 4x wafer equivalent). EUV reticles are intricate optical systems made from of several materials with wavelength-specific optical properties. The combined interactions of the substrate, multilayer-stack, buffer layer and absorber layer produce a reflected EUV optical field that is challenging to model accurately, and difficult to fully assess without actinic at-wavelength inspection. Understanding the aerial image from lithographic printing alone is complicated by photoresist properties. The AIT is now used to investigate mask issues such as amplitude and phase defect printability, pattern repair techniques, contamination, inspection damage, and mask architecture. The AIT has a 6{sup o} illumination angle, and high-resolution exposure times are typically 20 seconds per image. The AIT operates semi-automatically capturing through-focus imaging series with step sizes as small as 0.1 [mu]m (0.5-0.8 [mu]m are typical), and a step resolution of 0.05 [mu]m. We believe it is the most advanced EUV mask inspection tool in operation today. In the AIT, an EUV image of the mask is projected by a zoneplate lens with high magnification (680-910x) onto a CCD camera. The CCD over-samples the image, providing equivalent pixel sizes down to 15 nm in mask coordinates-several image pixels per resolution element. The original AIT zoneplate specifications were designed to emulate the resolution of a 0.25-NA 4x stepper, and thorough benchmarking analysis of the aberrations, flare, contrast-transfer function, and coherence was published in 2007 [1] (see Fig 1). Recent upgrades have also included changes to improve the illumination uniformity and increase the partial coherence [sigma] value. Five different zoneplate lenses are installed side-by-side to enable the AIT to emulate various stepper optical properties (see Fig. 2).
Investigation Of Buried Euv Mask Defect Printability Using Actinic Inspection And Fast Simulation
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2009
Investigation Of Buried Euv Mask Defect Printability Using Actinic Inspection And Fast Simulation written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2009 with categories.
The fast simulator RADICAL and the Actinic Inspection Tool (AIT) are used in advance of availability of high volume manufacturing quality exposure tools, resists, and masks to assess the expected defect printability levels in production conditions. AIT images are analyzed to qualitatively demonstrate general trends in defect printability: defects smaller than 0.5nm tall on the multilayer surface can cause an unacceptable critical dimension (CD) change, CD change increases for taller defects, and defect printability varies asymmetrically through focus. RADICAL is used to derive quantitative limits for defect size and demonstrate the effects of focus and illumination for 22nm and 16nm dense lines. For 22nm dense lines at best focus a 0.8nm tall defect causes a 10% CD change. For 16nm lines a 0.4nm tall defect causes a 10% CD change. The CD is shown to be more sensitive to buried defects out of focus, but less sensitive to defects in focus if annular or dipole illumination is used.