[PDF] Mask Blank Defect Detection - eBooks Review

Mask Blank Defect Detection


Mask Blank Defect Detection
DOWNLOAD

Download Mask Blank Defect Detection PDF/ePub or read online books in Mobi eBooks. Click Download or Read Online button to get Mask Blank Defect Detection book now. This website allows unlimited access to, at the time of writing, more than 1.5 million titles, including hundreds of thousands of titles in various foreign languages. If the content not found or just blank you must refresh this page





Mask Blank Defect Detection


Mask Blank Defect Detection
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2000

Mask Blank Defect Detection written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2000 with categories.


Mask blanks are the substrates that hold the master patterns for integrated circuits. Integrated circuits are semiconductor devices, such as microprocessors (mPs), dynamic random access memory (DRAMs), and application specific integrated circuits (ASICs) that are central to the computer, communication, and electronics industries. These devices are fabricated using a set of master patterns that are sequentially imaged onto light-sensitive coated silicon wafers and processed to form thin layers of insulating and conductive materials on top of the wafer. These materials form electrical paths and transistors that control the flow of electricity through the device. For the past forty years the semiconductor industry has made phenomenal improvements in device functionality, compactness, speed, power, and cost. This progress is principally due to the exponential decrease in the minimum feature size of integrated circuits, which has been reduced by a factor of (square root)2 every three years. Since 1992 the Semiconductor Industry Association (SIA) has coordinated the efforts of producing a technology roadmap for semiconductors. In the latest document, ''The International Technology Roadmap for Semiconductors: 1999'', future technology nodes (minimum feature sizes) and targeted dates were specified and are summarized in Table 1. Lithography is the imaging technology for producing a de-magnified image of the mask on the wafer. A typical de-magnification factor is 4. Mask blank defects as small as one-eighth the equivalent minimum feature size are printable and may cause device failure. Defects might be the result of the surface preparation, such as polishing, or contamination due to handling or the environment. Table 2 shows the maximum tolerable defect sizes on the mask blank for each technology node. This downward trend puts a tremendous burden on mask fabrication, particularly in the area of defect detection and reduction. A new infrastructure for mask inspection will be required to keep pace with this aggressive roadmap. Depending on the specific lithography used for a particular generation, mask inspection specifics may change, but the methodology will essentially remain the same. Mask blanks will have to undergo 100% area inspection for defects larger than the maximum acceptable size. Since masks are becoming a significant cost factor in the ownership of lithography tools, this is a critical step--patterning defective mask blanks would be an economic disaster. Inspection does not necessarily have to be done at the ultraviolet wavelength used for the lithography since defects at the mask blank level will interact with visible light, albeit very weakly. Techniques using visible light are appealing because they are familiar to the user, relatively straightforward to manufacture and safe to use, and when designed properly, extendable over many generations. The technology used in commercial wafer inspection tools is currently the prime candidate for mask blank inspection. It is based on direct detection of scattered light from the defect in one or more directions. Figure 1 shows a typical setup with detectors in both the forward scatter direction (bright-field detection) and away from the specular direction (dark-field detection). In these setups the beam and/or mask blank is scanned to achieve full inspection of the blank. The scattered signal from a defect is therefore a short pulse immersed in the dynamic background scatter from the inherent surface roughness of the mask blank and in the light scattered from the optics and mechanical parts within the instrument. State-of-the-art instruments cannot detect defects smaller than 80 nm, insufficient for the next technology node. The research done over the last year addressed defect detection using a different approach --a heterodyne interference/synchronous detection technique that has the potential of enhanced detection of the scattered light from small defects. This detection is accomplished by directly measuring the amplitude of the electric field of the scattered light using interference of the scattered light with a strong, frequency shifted, local oscillator beam. This technique could provide the basis for new visible light inspection equipment.



Detectability And Printability Of Euvl Mask Blank Defects For The32 Nm Hp Node


Detectability And Printability Of Euvl Mask Blank Defects For The32 Nm Hp Node
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2007

Detectability And Printability Of Euvl Mask Blank Defects For The32 Nm Hp Node written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2007 with categories.


The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all printable defects; therefore, understanding defect printability criteria is critical to this tool development. In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects whose printability has been estimated from wafer printing results and actinic aerial images results.



Key Challenges In Euv Mask Technology


Key Challenges In Euv Mask Technology
DOWNLOAD
Author : Yow-Gwo Wang
language : en
Publisher:
Release Date : 2005

Key Challenges In Euv Mask Technology written by Yow-Gwo Wang and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2005 with categories.


This dissertation focuses on issues related to extreme ultraviolet (EUV) lithography mask technology: mask inspection and mask 3D effects on imaging performance. Actinic (at- wavelength) mask inspection (both blank and patterned mask) is of critical concern for EUV lithography. In this dissertation, systematic studies exploring the optimal optical system design to improve the defect detection sensitivity for both actinic mask blank and patterned mask inspection tools using EUV light are presented. For EUV mask blank in- spection, a complete discussion is conducted to compare the conventional bright field method and the Zernike phase contrast method on their phase defect detection sensitivity by thin mask simulations and experiments using the SHARP EUV microscope at Lawrence Berke- ley National Laboratory (LBNL). The study shows that higher defect detection sensitivity and in-focus inspection capability can be achieved by the Zernike phase contrast method, while the conventional bright field method needs through-focus scanning and results in lower defect detection sensitivity. Experimental results show that a programmed defect as small as 0.35 nm in height is detected at best focus with a signal-to-noise ratio (SNR) ≈ 8 by the Zernike phase contrast method. With the considerations of various noise sources and system design, the thin mask simulation results show that the dark field method has better detection efficiency in inspection mode, while the Zernike phase contrast method is better in review mode (pixel size ≤ 25 nm). Further, the impact of pixel size, EUV source type, and photon collection efficiency for a dark field based actinic blank inspection tool is discussed by thin mask simulation. The simulation results show the complex correlation between each parameter on defect inspection efficiency and also show that 10-watt EUV source power and 100 nm pixel size are needed to capture a phase defect of height 0.5 nm. For EUV patterned mask inspection, the possibility of using the optimum phase shift in the pupil plane to improve inspection efficiency is discussed using a thin mask model. Then the nature of the EUV mask pattern defect is analyzed by its near field distribution using a thick mask model. The simulation results indicate that, as a result of 3D effects leading to phase artifacts, pattern defects cannot be simply treated as ideal absorber defects. The results can affect the choice of optimal patterned mask inspection tool design. Moreover, a study of a bright field based EUV actinic pattern inspection tool design using a hybrid (2D + 3D) model is presented, showing that the impact of noise sources and optical design on critical pattern defects detection sensitivity. The study shows that introducing a − 50 nm defocus into the inspection system can improve the SNR by 50%. The impact of EUV sub-resolution assist feature (SRAF) on mitigation of mask 3D effects is discussed by rigorous 3D modeling. The simulation results show that introducing SRAFs in the mask design induces even stronger effective single pole aberration into the imaging system to balance the Bossung curve. Asymmetric SRAFs pattern placement can achieve a 21% improvement of the process window. Moreover, the complex interaction between the main feature and the SRAFs is analyzed by systematic position sensitivity studies. Bossung tilt sensitivity with respect to the relative positions between main feature and SRAFs is shown, which indicates that different location precision requirements are needed for SRAFs during the mask-making process.



Method And Apparatus For Inspecting Reflection Masks For Defects


Method And Apparatus For Inspecting Reflection Masks For Defects
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2003

Method And Apparatus For Inspecting Reflection Masks For Defects written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2003 with categories.


An at-wavelength system for extreme ultraviolet lithography mask blank defect detection is provided. When a focused beam of wavelength 13 nm is incident on a defective region of a mask blank, three possible phenomena can occur. The defect will induce an intensity reduction in the specularly reflected beam, scatter incoming photons into an off-specular direction, and change the amplitude and phase of the electric field at the surface which can be monitored through the change in the photoemission current. The magnitude of these changes will depend on the incident beam size, and the nature, extent and size of the defect. Inspection of the mask blank is performed by scanning the mask blank with 13 nm light focused to a spot a few .mu.m in diameter, while measuring the reflected beam intensity (bright field detection), the scattered beam intensity (dark-field detection) and/or the change in the photoemission current.



Actinic Inspection Of Multilayer Defects On Euv Masks


Actinic Inspection Of Multilayer Defects On Euv Masks
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2005

Actinic Inspection Of Multilayer Defects On Euv Masks written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2005 with categories.


The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects.



Mask Defect Verification Using Actinic Inspection And Defect Mitigation Technology


Mask Defect Verification Using Actinic Inspection And Defect Mitigation Technology
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2009

Mask Defect Verification Using Actinic Inspection And Defect Mitigation Technology written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2009 with categories.


The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. The successful production of defect-free masks will depend on the timely development of defect inspection tools, including both mask blank inspection tools and absorber pattern inspection tools to meet the 22 nm half-pitch node. EUV mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360 is operated at SEMA TECH's Mask blank Development Center (MBDC) in Albany, with sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for the next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. This paper will also discuss the kind of infrastructure that will be required in the development and mass production stages.



Euv Lithography Mask Blank Defect Inspection


Euv Lithography Mask Blank Defect Inspection
DOWNLOAD
Author : Yun Lin
language : en
Publisher:
Release Date : 1998

Euv Lithography Mask Blank Defect Inspection written by Yun Lin and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 1998 with categories.




A Study Of Defects On Euv Mask Using Blank Inspection Patterned Mask Inspection And Wafer Inspection


A Study Of Defects On Euv Mask Using Blank Inspection Patterned Mask Inspection And Wafer Inspection
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2010

A Study Of Defects On Euv Mask Using Blank Inspection Patterned Mask Inspection And Wafer Inspection written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2010 with categories.


The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. yet link data is available for understanding native defects on real masks. In this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The printability of defects and identification of their source from mask fabrication to handling were studied using wafer inspection. The printable blank defect density excluding particles and patterns is 0.63 cm2. Mask inspection is shown to have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus analysis and a different wafer stack.



Low Defect Reflective Mask Blanks For Extreme Ultraviolet Lithography


Low Defect Reflective Mask Blanks For Extreme Ultraviolet Lithography
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 1999

Low Defect Reflective Mask Blanks For Extreme Ultraviolet Lithography written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 1999 with categories.


Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA roadmap well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm2 @ 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm2 for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm2 in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10-2/cm2 level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling.



Euv Actinic Defect Inspection And Defect Printability At The Sub 32 Nm Half Pitch


Euv Actinic Defect Inspection And Defect Printability At The Sub 32 Nm Half Pitch
DOWNLOAD
Author :
language : en
Publisher:
Release Date : 2009

Euv Actinic Defect Inspection And Defect Printability At The Sub 32 Nm Half Pitch written by and has been published by this book supported file pdf, txt, epub, kindle and other format this book has been release on 2009 with categories.


Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.